当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Warning: Node: clk50MHz was determined to be a clock but was found without an associated clock assignment.是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Warning: Node: clk50MHz was determined to be a clock but was found without an associated clock assignment.
问题补充:

  • 匿名
2013-05-23 12:21:38
警告:节点: clk50MHz被确定为一个时钟,但是,发现没有相关联的时钟分配。
  • 匿名
2013-05-23 12:23:18
警告:结:clk50MHz确定是时钟,但是被找到,不用一项伴生的时钟任务。
  • 匿名
2013-05-23 12:24:58
警告: 结: clk50MHz被确定是时钟,但被发现了,不用一项伴生的时钟任务。
  • 匿名
2013-05-23 12:26:38
正在翻译,请等待...
  • 匿名
2013-05-23 12:28:18
警告:节点: clk50MHz 决心是一个时钟但是没有一项被联系的时钟任务地被找到。
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭