当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at yh.vhd(19) near text "elsif"; expecting "end", or "(", or an identifier ("elsif" is a reserved keyword), or a sequential statement,是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at yh.vhd(19) near text "elsif"; expecting "end", or "(", or an identifier ("elsif" is a reserved keyword), or a sequential statement,
问题补充:

  • 匿名
2013-05-23 12:21:38
错误( 10500 ) : VHDL语法错误yh.vhd ( 19 )近文字“ ELSIF ” ;
  • 匿名
2013-05-23 12:23:18
错误(10500):VHDL语法错误在YH.vhd(19)接近文本“ELSIF”;希望“End”(结束),或“(”,或是一个标识符(“ELSIF”是一个保留的关键字),或按顺序发言,
  • 匿名
2013-05-23 12:24:58
错误 (10500) : VHDL句法错误在yh.vhd( 19) 在文本“elsif”附近; 期望“末端”或者”( “或者标识符 (“elsif”是一个后备的主题词)或者一个连续声明,
  • 匿名
2013-05-23 12:26:38
错误 (10500): VHDL 语法错误在 yh.vhd(19) 附近文本"elsif"; 期待"end",或"(",或标识符 ("elsif"是一个保留的关键字),或连续的声明,
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭