当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10334): VHDL error at yh.vhd(11): entity "control" is used but not declared是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10334): VHDL error at yh.vhd(11): entity "control" is used but not declared
问题补充:

  • 匿名
2013-05-23 12:21:38
错误( 10334 ) :在yh.vhd VHDL错误( 11 ) :实体“控制”一词,但没有宣布
  • 匿名
2013-05-23 12:23:18
错误(10334):VHDL错误在YH.vhd(11):实体“控制”已使用但未声明
  • 匿名
2013-05-23 12:24:58
错误 (10334) : VHDL错误在yh.vhd( 11) : 使用个体“控制”,但没有被宣称
  • 匿名
2013-05-23 12:26:38
错误 (10334): 在 yh.vhd(11) VHDL 错误: 实体"控制"是使用但未声明
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭