当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at one.vhd(32) near text "process"; expecting a sequential statement, `是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at one.vhd(32) near text "process"; expecting a sequential statement, `
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10500):VHDL的语法错误在one.vhd附近文本“过程”(32);期待一个连续的语句,`
  • 匿名
2013-05-23 12:23:18
错误(10500__LW_AT__):VHDL语法错误在一个.vhd(32岁)近案文"程序";预期顺序发言,'
  • 匿名
2013-05-23 12:24:58
正在翻译,请等待...
  • 匿名
2013-05-23 12:26:38
在 one.vhd(32) 附近文本"进程"错误 (10500): VHDL 语法错误期待一个连续的语句,'
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭