当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:本课程设计制作了一个基于FPGA的七人表决器,系统采用VHDL 硬件语言并在Quartus II平台上进行仿真。论文简单介绍了设计方法, 并给出了设计框图和详细设计过程,包括4个模块: 控制与计数模块、显示模块和分频器模块。本表决器可实现七人投票表决,自动显示表决同意人数。是什么意思?

待解决 悬赏分:1 - 离问题结束还有
本课程设计制作了一个基于FPGA的七人表决器,系统采用VHDL 硬件语言并在Quartus II平台上进行仿真。论文简单介绍了设计方法, 并给出了设计框图和详细设计过程,包括4个模块: 控制与计数模块、显示模块和分频器模块。本表决器可实现七人投票表决,自动显示表决同意人数。
问题补充:

  • 匿名
2013-05-23 12:21:38
This course is designed and produced seven people vote based on fpga using vhdl hardware simulation language and quartus ii platform. The paper briefly describes the design method, and gives the design diagram and detailed design process, including the four modules: control and counter module, displ
  • 匿名
2013-05-23 12:23:18
This course has been designed based on a 7 person FPGA voting VHDL hardware in a language and platform in Quartus II on emulation. A brief paper methods for the design and the design diagrams and detailed design process, including 4 module: control and counter module, display module and crossover mo
  • 匿名
2013-05-23 12:24:58
This curriculum designed manufactures one based on the FPGA seven human of voting machines, the system has used the VHDL hardware language and carries on the simulation in the Quartus II platform.The paper introduced simply the design method, and has given the design diagram and the detailed design
  • 匿名
2013-05-23 12:26:38
This course designed by a seven people voting based on FPGA, system uses language and VHDL hardware in the Quartus II simulation on a platform. Paper briefly describes the design method, and gives the design diagrams and detailed design process, including 4 modules: module, display module and freque
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭