当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at yh.vhd(33) near text "process"; expecting "case"是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at yh.vhd(33) near text "process"; expecting "case"
问题补充:

  • 匿名
2013-05-23 12:21:38
错误( 10500 ) : VHDL语法错误yh.vhd ( 33 )近文字“过程” ;
  • 匿名
2013-05-23 12:23:18
错误(10500):VHDL语法错误在YH.vhd(33)接近文本"进程";预计"案件"
  • 匿名
2013-05-23 12:24:58
错误 (10500) : VHDL句法错误在yh.vhd( 33) 在文本“过程”附近; 期望“案件”
  • 匿名
2013-05-23 12:26:38
错误 (10500): VHDL 语法错误在 yh.vhd(33) 附近文本"过程"; 期待"案"
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭